CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog hdl

搜索资源列表

  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    1下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and multiplier, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1243671
    • 提供者:chenlu
  1. verilog

    0下载:
  2. 中文版Verilog HDL简明教程,很简洁,结合实例,很容易理解,适合初学者。-Chinese version of Verilog HDL A simple tutorial, very simple, with an example, it is easy to understand for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:104016
    • 提供者:邹仁波
  1. verilog

    1下载:
  2. 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:8651
    • 提供者:andy
  1. Verilog-HDL

    0下载:
  2. 《北航常晓明Verilog应用》一书的pdf完整版,是学习Verilog的好书-" Beihang Chang Xiaoming Verilog Applications" pdf full version of the book is a good book to learn Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15433104
    • 提供者:甘福连
  1. verilog

    0下载:
  2. 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27830787
    • 提供者:lyy
  1. Verilog-huawei

    0下载:
  2. Verilog HDL华为典型电路设计。-Huawei typical Verilog HDL circuit design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:267147
    • 提供者:Air
  1. Verilog-HDL

    0下载:
  2. 这是关于VERILOG HDL的有限状态机的源码,大家参考参考,应该有好处的。-This is about VERILOG HDL source code for finite state machines, we refer to the reference, it should be good.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6126129
    • 提供者:罗啰
  1. verilog-traffic-light

    0下载:
  2. 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:98255
    • 提供者:镶牙另
  1. Verilog-HDL_PPT

    0下载:
  2. 《数字系统设计与Verilog HDL(第4版)》课件-" Digital System Design and Verilog HDL (4th Edition)" Courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:5429248
    • 提供者:薛正亮
  1. ARM-Verilog-HDL-IP-CORE

    0下载:
  2. ARM Verilog HDL IP CORE
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-26
    • 文件大小:67766
    • 提供者:hebin
  1. LVDS-application-Verilog-HDL-code

    0下载:
  2. LVDS的应用的Verilog HDL例子程序-LVDS example of the application procedures for the Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:421767
    • 提供者:vico
  1. Advanced-Digital-Design-with-the-Verilog-HDL-CODE.

    0下载:
  2. 《Verilog HDL高级数字系统设计》(Michael D. Ciletti著) Verilog HDL源代码-" Verilog HDL Advanced Digital System Design" (Michael D. Ciletti a) Verilog HDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1070296
    • 提供者:曹氏
  1. 三角函数的Verilog HDL语言实现

    0下载:
  2. 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, rea
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:148480
    • 提供者:所罗门
  1. Verilog HDL Practice

    0下载:
  2. FPGA Verilog HDL程序设计练习进阶,实用的FPGA学习资料。(Practicing of FPGA Verilog HDLprogramming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-17
    • 文件大小:376832
    • 提供者:ts_ear
  1. Verilog HDL logic programming

    0下载:
  2. FPGA常用逻辑的Verilog HDL语言实现,实用的FPGA开发参考资料。(Verilog HDL programming methods of common FPGA logic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1229824
    • 提供者:ts_ear
  1. Verilog-HDL基础知识非常好的学习教程

    0下载:
  2. Verilog-HDL基础知识非常好的学习教程(Verilog-HDL basic knowledge, a very good learning tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:569344
    • 提供者:芮芊
  1. IEEE Standard for Verilog 2005

    0下载:
  2. this book introduces the use of Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:3137536
    • 提供者:^U^
  1. VHDL-和-Verilog-HDL-的区别

    0下载:
  2. The difference between VHDL and Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:^U^
  1. Verilog的135个经典设计实例

    0下载:
  2. Verilog HDL的13个经典实例。经过验证,值得学习(The 13 Verilog HDL classic examples. After verification, worth learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:112640
    • 提供者:我法提了
  1. VERILOG HDL快速入门 (中文)

    0下载:
  2. 《Verilog HDL入门(第3版)》从语言特点和建模应用两个方面出发,对Verilog语言的基本概念进行了全面深入的讲解,为每一种语言结构提供了大量的例子,并且举例说明了如何使用多种语言结构来构造硬件模型。(Verilog HDL Introduction (Third Edition) "starting from the two aspects of language features and modeling application, the basic concept of
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:371712
    • 提供者:假假假
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com